trying to understand VHDL programs ..please help

i am a 3rd year student of ece dept...i m doing a project on this topic.....i am seeking someone's help to understand the VHDL program stepwise,which is listed below....
-- Design Name:
-- Module Name: ALU_Controller controls alu components bases off inputs
-- Project Name: DSD_Project (4 bit alu)
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity ALU_Controller is
Port( opcode : in std_logic_vector(1 downto 0); -- opcode and mode in
mode : in std_logic; -- mode
output : out std_logic_vector(5 downto 0)); -- all the outputs
end ALU_Controller;

architecture Behavioral of ALU_Controller is
type arrayRom is array (0 to 7) of std_logic_vector (5 downto 0);
constant Rom: arrayRom := ("000100","001010","000010","000000",
"000001","010001","100001","110001");

begin

output <= Rom(conv_integer(mode & opcode));
end Behavioral;

please help!!😕

Replies

You are reading an archived discussion.

Related Posts

hi, i am doing simulation of my project related to grid computing, when i run the simulation following error occurs Exception in thread "main" eduni.simjava.Sim_exception: Sim_entity: Entity names can't contain...
Hey people.....i need some help......please can you tell me how to drive a servomotor using a PLC.Im in desperate need of help......all i want to know is 1.Which kind of...
I am doing project on interleave division multiple access(IDMA) . for that i need concepts how generate turbo codes and how to decode it. I have write a 'c' program...
i need a proper instruction about presenting a presentation?
sirs... i need to know more about making a radio and its constructional details and its circuit diagram.... waiting for a good reply