CrazyEngineers
  • trying to understand VHDL programs ..please help

    Updated: Oct 26, 2024
    Views: 1.0K
    i am a 3rd year student of ece dept...i m doing a project on this topic.....i am seeking someone's help to understand the VHDL program stepwise,which is listed below....
    -- Design Name:
    -- Module Name: ALU_Controller controls alu components bases off inputs
    -- Project Name: DSD_Project (4 bit alu)
    ----------------------------------------------------------------------------------
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;

    entity ALU_Controller is
    Port( opcode : in std_logic_vector(1 downto 0); -- opcode and mode in
    mode : in std_logic; -- mode
    output : out std_logic_vector(5 downto 0)); -- all the outputs
    end ALU_Controller;

    architecture Behavioral of ALU_Controller is
    type arrayRom is array (0 to 7) of std_logic_vector (5 downto 0);
    constant Rom: arrayRom := ("000100","001010","000010","000000",
    "000001","010001","100001","110001");

    begin

    output <= Rom(conv_integer(mode & opcode));
    end Behavioral;

    please help!!😕
    0
    Replies
Howdy guest!
Dear guest, you must be logged-in to participate on CrazyEngineers. We would love to have you as a member of our community. Consider creating an account or login.
Home Channels Search Login Register