GUI for a program in ActiveHDL

Hi!! I want to create a GUI for my program which is simulated in ActiveHDL. How do I do it? Which software do I need to use? Which GUI creating software is compatible with ActiveHDL?Please reply asap......

Replies

  • Namrata Mirajkar
    Namrata Mirajkar
    Hi!!! I want to create a GUI for my program (written in VHDL language) which i simulate in ActiveHDL. How do I do it?I am a telecommunication engg. student..So I'm not very good at programming. What is the simplest and the quickest way to do this?? Which GUI software is compatible with ActiveHDL? Please reply as soon as possible..

You are reading an archived discussion.

Related Posts

hello guys, I am making a project in which I have to display dates from calendar other than assigned dates and holidays( entered by user). Any idea how can it...
Lecture Series on Introduction to Transportation Engineering by Prof. Bhargab Maitra and Prof. K. Sudhakar Reddy, Department of Civil Engineering, IIT Kharagpur. [video=youtube;5zKC_aq4ypM]https://www.youtube.com/watch?v=5zKC_aq4ypM[/video]
Hello friends..... i got an project idea and i have to make this project, in which i have to transmit the AV signals within the the range of 25-30 feet...
This is the lecture by Prof.S.N. Singh of IIT Kanpur Electrical branch. [video=youtube;zkN13OmgGOs]https://www.youtube.com/watch?v=zkN13OmgGOs[/video]
This is a video by Prof.S.N. Singh of Dept. of Electrical Engineering, IIT Kanpur. [video=youtube;D7nUa7zRPa4]https://www.youtube.com/watch?v=D7nUa7zRPa4[/video]