DMA Controller

I have been given a project of making a DMA (Direct Memory Access) controller using verilog HDL. I know the basic concepts of DMA. But I need help as I want to make the simplest of all DMA Controller. I am confused as to how I should start this project. 😔

I think I will have to start by creating a memory in Verilog HDL. But I dont know what to do next. And please I need to make it as simple as I can! So do let me know how can I make a simple DMA controller?

Thank you

Regards,

Cursed

Replies

You are reading an archived discussion.

Related Posts

I am studying in 4th sem in metallurgy branch. Where should i go for the same?
Hi friends, While trying to open a file, Im getting this error "failed to open stream: Permission denied" in php even i set 777 permission to file and php safe...
hello everyone.... i m 3rd year b.tech student, branch ECE... 😀 i want suggestions for 6 months training. which company, etc??
Hello everybody, I'm student of MSc Telecom Engineering(Network pathways), could any one help me to suggest me a topic related smart antenna's (for LTE)? I mean any specific direction to...
Hi CEans, I am sorry to be offline all these days but I finally came to an idea to what to do for my final year project as I decide...