Electrical & Electronics Forum!

Hey All πŸ˜€ !

I'm glad to present you the 'Electrical & Electronics Forum' 😁 . Feel free to post your queries, doubts related to Eletrical & Electronics engineering here. Seek help from others and do help others.

Let us try to come up with some crazy ideas and start working on them 😁 . Who knows, we might surprize the world with something ... mind blowing πŸ˜‰

-The Big K-

Replies

  • swetha
    swetha
    am glad to join it... crazy ideas in e&e sounds good
  • pradypop
    pradypop
    Me too am game for it.

    Get in with some ideas and lets try n make it happen.
  • Jerry
    Jerry
    Count me in folks!

    what project were you talking about, 'K'?
  • nalin17
    nalin17
    matlab

    hey guys..m supposed to make a mini project using matlab..i hv got no idea as to how shud i go about it..i need some help..plzzz help me out...
    it can b on any one of the following topics- LCT,DSP,PE...
  • crook
    crook
    hey nalin

    welcome to the board πŸ˜€ . may we know a bit about your mini project and what kind of help you want.

    give us a little more information about your project.

    crook
  • iloveher
    iloveher
    nalin17
    hey guys..m supposed to make a mini project using matlab..i hv got no idea as to how shud i go about it..i need some help..plzzz help me out...
    it can b on any one of the following topics- LCT,DSP,PE...

    hi bro...(maybe a sis!!!)

    why don't u go for REAL TIME OBJECT TRACKING IMAGE PROCESSING ..
  • sristi
    sristi
    hi nalin
    i think Dsp based project will b an interesting one to go for
  • parthi
    parthi
    nice to join this 😁 is there any one ready to be frienly to me
  • crook
    crook
    everyone is !

    hi parthi ! what a question ! everyone is your friend here and we call ourselves CEans ! πŸ˜€

    that is Crazy Engineers !

    Go to Introductions thread in General discussions and let us know more about you. 😁

    crook
  • Kaustubh Katdare
    Kaustubh Katdare
    that's right πŸ˜€ we are a friendly bunch on CE forums and we are building an engineers community. We are small but its fun 'building' this community, say what folks?

    Please post introductions in 'Introduce Yourself' section. We'd love to hear about you 😁

    -The Big K-

    p.s:
    I urge everyone to read the (click->) CrazyEngineers developed by CEans for the new joinees. It just takes a minute or two to read. πŸ˜‰
  • aashima
    aashima
    yoo...

    hey this is perhaps the best efforts of ce.... building up an engg section iz a roking idea in itself....
    morever i wud appreciate it being an ece student πŸ˜‰
    great going...
  • Kaustubh Katdare
    Kaustubh Katdare
    We will have sections dedicated to various streams of Engineering. The only concern is to have people who will initiate discussions in those sections.

    Do post your reviews and comments in the 'Feedback' section !

    -The Big K-
  • m_chiroo
    m_chiroo
    its gud to see the electrical forum...
  • Kaustubh Katdare
    Kaustubh Katdare
    We need discussions for electrical engineering forum. Probably question - answer sessions, tutorials, articles will bring this section to life.

    Do we have anyone who is willing to write articles for this section? Start with any topic related to Electrical Engineering. It could be on Induction Motors, Transformers, High Voltage Engineering etc.

    -The Big K-
  • farooq
    farooq
    Kudos

    Kool seems like
  • vibhor_one
    vibhor_one
    The_Big_K
    Hey All πŸ˜€ !

    I'm glad to present you the 'Electrical & Electronics Forum' 😁 . Feel free to post your queries, doubts related to Eletrical & Electronics engineering here. Seek help from others and do help others.

    Let us try to come up with some crazy ideas and start working on them 😁 . Who knows, we might surprize the world with something ... mind blowing πŸ˜‰

    -The Big K-
    Thank u The Big K, i am glad to know that there is EE forum & love to be one of u. 😎

    vibhor_one
  • vihangas
    vihangas
    Hi All,
    this is vihang. New . Nice to see all enthu people under one roof.

    keep rocking
    vihang
  • Elisa
    Elisa
    I agree! This community is unique and I'm glad to be a part of it!
  • vibhor_one
    vibhor_one
    The_Big_K
    Hey All πŸ˜€ !

    I'm glad to present you the 'Electrical & Electronics Forum' 😁 . Feel free to post your queries, doubts related to Eletrical & Electronics engineering here. Seek help from others and do help others.

    Let us try to come up with some crazy ideas and start working on them 😁 . Who knows, we might surprize the world with something ... mind blowing πŸ˜‰

    -The Big K-
    Hi Biggie,

    I am glad to join the forum.

    Regards,

    vibhor_one
  • ankitvirmani
    ankitvirmani
    Re: matlab

    nalin17
    hey guys..m supposed to make a mini project using matlab..i hv got no idea as to how shud i go about it..i need some help..plzzz help me out...
    it can b on any one of the following topics- LCT,DSP,PE...
    Making a PE or LCT project in MATLAB will be the easiest.
    DSP Project is definately not recommended.
    About working with MATLAB-its pretty easy-you can work your way through the HELP file to end up with a decent enough project. It will be better if you give details.
  • kadamsagar123
    kadamsagar123
    Re: matlab

    hello friend
    matlab has aheavy stock of control system,dsp etc.
    you can try out generating filters,or controlling any process.(process controll instrumentation) or image processing.
    you can take ideas from demo window
  • kant_ashu
    kant_ashu
    hi
    I am glad to join this forum.
  • xheavenlyx
    xheavenlyx
    Wow, this is the first time I am seeing people talk about MATLAB here!!!

    Believe me, if yo are an engineer then that lang is your most important tool, learnit!

    If I see few more then Ill write my own tutorial on it, but as our members have said here like ANKIT and KADAM, you can easly start by going through the HELP. and dont forget to visit teir website, got a lot of webinars for FREE!
  • Ashraf HZ
    Ashraf HZ
    xheavenlyx, I'm just starting to use SCILAB, an open sourced alternative to MATLAB. Do you think it is sufficient enough to just to stick to it?
  • metalishu
    metalishu
    i hope this is relly cool as stated by others so lets rock the world by going crazy before this world goes crazy!!!!!!!!!!!!!!!!!!
  • devura
    devura
    Hi All you Electrical and Electronics Guys,

    This is a new beginning for me in Crazy Engineers
    Hope to have lots of fun - sharing knowledge and learning here

    Thanks

    DR
  • kekuleh
    kekuleh
    hi. first time posting. hope i'm welcomed here. looking forward to advancing my knowledge in E&E engineering here, though i'm not even a beginner yet.
  • sha005
    sha005
    am a final year electrical student give some projest topics...

    am searching for it also give some seminar topics in electrical and electronics(advanced)
  • Kaustubh Katdare
    Kaustubh Katdare
    sha005
    am a final year electrical student give some projest topics...

    am searching for it also give some seminar topics in electrical and electronics(advanced)
    Why do you want ready made project ideas? How about coming up with your own and discussing them with CEans?

    -The Big K-
  • xheavenlyx
    xheavenlyx
    14/08: Got access to internet today. Just for a day. Will be back for more later.

    @ash, thanks for pointing out SCILAB. Never heard of it but if its open source, then ill surely try it. I think its better to start with SCILAB and then matlab since that will give you an idea on analyzing your problems.

    But dont forget to have a look at some matlab demos from their website. It might be very different, and moreover, MATLAB has much much more. It uses matrices to perform advance functions related to different fields. I think you should try downloading a MATLAB student Demo for a tryout. It will not have ALL the toolboxes.
  • msaadnegm
    msaadnegm
    hi guys, i am glad to join to you
    it is the first words i write in this forum
    i wish it be the best english forum for engineer
    and can help each other
    thanks alot
  • kadamsagar123
    kadamsagar123
    Hi!!!
    thanks for your help
    but it would be kind of you if you will forward their website
  • xheavenlyx
    xheavenlyx
    @kadamsagar123 what do you do online?
  • janardhanp
    janardhanp
    Hi ,
    I am glad to join in this community.
    Let's rock..............
  • vairamohan
    vairamohan
    hai
    I'm glad to join in it. This is very much useful for new learners.....
  • NOWAY
    NOWAY
    I'm electrical engineer in field of control in my final year I'm looking for ideas for my graduation project so if anybody can help with creative idea so plzzzzzzzz do my mind is πŸ˜•πŸ˜•πŸ˜•πŸ˜•πŸ˜•πŸ˜•πŸ˜•
  • mrpradeep.ele
    mrpradeep.ele
    Hi..
    I am also studying in final year E&EE. I want to know about some advanced topics for my seminar. Please anybody inform me about some topics.
    Thank you.
  • vijay.k86
    vijay.k86
    Nice ,very nice...
  • itb.hari
    itb.hari
    Glad To join...

    Joining ... Lets See Some Action... BTW EEE Student At GEC, TCR!😁
  • anugags
    anugags
    Hiii... Vry Happy 2 join here n dis comm rockssss
  • Tapon paul
    Tapon paul
    Hi sweatha!
  • pavansai
    pavansai
    Hi friends .. i have a suggestion that if any CEians have any links of E-BOOKS links especially electrical books or any material related to electrical if we can post in the threads it would be better for a newbie to get experience ,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,
  • chrivu
    chrivu
    hello dere,i'm in da game!
  • electronhere
    electronhere
    hi thr..its priyal..nther electrical engg...nice to c elect group.
  • Nimish
    Nimish
    I like it,crazy engineer.
  • Mitesh
    Mitesh
    Hi! I am your new friend name Mitesh I wanted some information about EXTC Degree colleges near Pune side If anyone has some information about which college will be better if i am scoring 75 % in last year Diploma in Electronics and Telecommunication field it will be helpful for me PLz reply if anyone knows (i am happy to join Crazy Engineers Forom and expecting to share yours and my experiences about the same)
  • vidhya36
    vidhya36
    hi friends! happy to join this community!I have chosen clap switch as my mini project...is it good to choose this as mini project?just suggest me friends...
  • vidhya36
    vidhya36
    friends nice to join this community! I chose clap switch as my mini project...will it be good to do it...just suggest me friends!
  • Kaustubh Katdare
    Kaustubh Katdare
    vidhya36
    friends nice to join this community! I chose clap switch as my mini project...will it be good to do it...just suggest me friends!
    Well, you're 10 steps ahead of others who're still searching around for project ideas. Now what do you exactly mean by "will it be good to do it" ?

    ps: For project ideas & discussions, start a new topic in following section: #-Link-Snipped-#
  • vidhya36
    vidhya36
    well friend i will see in that thread.....I feel this is too simple....is there any project available..somewhat innovative and it must solve the current problem but must be cost effective too..i think of doing a project like...designing a detector for managing the power thefts by sitting in the online itself,then designing a good preamplifier that boosts the noise from the speakers properly...but my guide said that mini project means your estimation should not go beyond 500/-....is anything innovative projects there...[​IMG]
  • maverick88
    maverick88
    hi everybody, i am glad 2 join this forum of crazy guys i want somr help from u guys cud anybody of u give me sth substantial abt internships under profs. of some gud colleges.
  • sauravgoswami
    sauravgoswami
    So everyone is glad to be part of this forum!!!!..no one has complaints!!! tats nice...so temme how can we improve more and make this forum more popular!!!!!
  • yazh
    yazh
    The_Big_K
    Hey All πŸ˜€ !

    I'm glad to present you the 'Electrical & Electronics Forum' 😁 . Feel free to post your queries, doubts related to Eletrical & Electronics engineering here. Seek help from others and do help others.

    Let us try to come up with some crazy ideas and start working on them 😁 . Who knows, we might surprize the world with something ... mind blowing πŸ˜‰

    -The Big K-
    Hai everyone....
    Im new to ths site. I think ive missed ths useful site for 3 years. yeah now i fnd nd joind in ths CE world. And I've entered into my final year ECE. Ive to do project next semester. Can anyone help and guide me? I think to do project on VLSI. Wats the latest topic in VLSI design? πŸ˜’πŸ˜’
  • sauravgoswami
    sauravgoswami
    buddy post it on the forum not on this link!!!!
  • umashankar.s
    umashankar.s
    Anybody having exposure to 230AC and 440 DC layout design include motor, controller or drives design

    how can we implement this high power design in layout?

    any special considerations need to make or constraints do?

    how u can route the phase and neutral of input power in board?

    does it only thro wire and not thro pcb traces?

    reply ASAP
  • devaa
    devaa
    i am deva final deee in psg tech.i want do a project. i like to do industrial oriented one.so please anybody ............
  • KINETIC_JOULES
    KINETIC_JOULES
    Thanks! ^_^ I'm just a 15 year old girl, and I don't know a god awful amount of information about electrical engineering and such, but I see this forum as an opportunity to learn new things
  • KINETIC_JOULES
    KINETIC_JOULES
    Well. . . I'm not even out of high school yet, so I don't know much. I live in Louisiana, and the best college for electrical engineering around is Louisiana Tech. So. . . sorry I couldn't be of any help.
  • Kaustubh Katdare
    Kaustubh Katdare
    KENETIC_JOULES
    Thanks! ^_^ I'm just a 15 year old girl, and I don't know a god awful amount of information about electrical engineering and such, but I see this forum as an opportunity to learn new things
    You're welcome to CE. How about introducing yourself here : -

    #-Link-Snipped-#
  • vishnuj17
    vishnuj17
    hi yaar,
    lets make those crrazy ideas happen..........
  • Kaustubh Katdare
    Kaustubh Katdare
    Vishnuj - English only πŸ˜€
  • edin
    edin
    cool forum.
  • KINETIC_JOULES
    KINETIC_JOULES
    I know. . . We're crazy here! Welcome to CE, edin!
  • AHAD-KHAN
    AHAD-KHAN
    hello every body! now-a-days i am making pcb's without using any software like multisim ,orcad ,LT pice & so on...--but i could not know whichone software is for beginers(easy to use) can any experienced one tell me.
    ------------------------------------------------------------
    if u born poor ,its not ur mistake but if u die poor ,its ur mistake.πŸ˜•
  • naval.jaiswal
    naval.jaiswal
    hi friends i m glad to join this group
  • KINETIC_JOULES
    KINETIC_JOULES
    hi naval.jaiswal! *Waves frantically*
    We're glad to have you!
  • venom11
    venom11
    hey...can ne 1 plz suggest ideas for final year project for a electronics engineer....
  • venom11
    venom11
    please people i need to get some specific idea soon...n i need ur help...
  • mithunganesh
    mithunganesh
    I am a beginner to EEE..
    Can u help me with papers for presentation...
  • Harshad Italiya
    Harshad Italiya
    I Am really Proud to join this...

    Lets Rox
  • dhiraj09
    dhiraj09
    Hi i m new out here..and so far i have found ur forum quite interesting...can i have a tutorial on matlab???///////////
  • Kaustubh Katdare
    Kaustubh Katdare
    dhiraj09
    Hi i m new out here..and so far i have found ur forum quite interesting...can i have a tutorial on matlab???///////////
    Matlab is huge! Any particular topic?

    Your best bet would be to post specific questions on CE Forums about Matlab. There are lot of Matlab experts over here.
  • prathap31
    prathap31
    hey...can ne 1 plz suggest ideas for final year project for a electrical engineer....
  • ZAHEER
    ZAHEER
    Hi Frend Im 3rd Year Ee. Diploma Student I Need To Decide A Topic For My Project.plz Help Me Yaar.something On Energy Conservation
  • rakshit
    rakshit
    could anybody help me out to do a miny project for 3 rd year elec enggπŸ˜€
  • anupi87
    anupi87
    hi i am anoop bhargava.........
    can any one give me a idea which is very innovative.
    i must do a project
  • Chandra Kala
    Chandra Kala

    1. Job openings for Electrical Service Engineer.

    panels, Switch GearsΒ 

    2. Sales and marketing Electronics components

    Both Bangalore Location.

    Any body interested, please call the below number.

    Name: Chandrakala B M

    Contact:Β 



    Β 

You are reading an archived discussion.

Related Posts

CEans πŸ˜€ ! I'm glad to announce the new CS & I.T. forum on CE forums 😁 . Now we have a place dedicated to all computer related discussions. Let...
Hi all CEans! πŸ˜€ The forums have been restructured! We are now back, up & running! Everything went smooth and this time I didn't meddle with the database 😁 The...
I would like to know weather anyone has implemented I2C in software in smaller chips like Atmel AT89C51, which doesn't have the port. Could you share the details with hardware...
Hi friends, Am posting some useful Testing Questions and there Answers. It hardly takes few hours to learn about Testing . This will certainly help you in developing your skills...
I'm HapPy to announce the latest addition on forum! - "Today's Birthdays" 😁 Do not forget to update your profile with your birthday. Here's how to do it - Click...